summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/decoder.vhd
diff options
context:
space:
mode:
authorprot2004-02-24 21:08:51 +0000
committerprot2004-02-24 21:08:51 +0000
commitf8ee5b24be92c6709781e17c6f8ff358f5986558 (patch)
treeefe560a4f0e7f93da82dc6b00fb808b8c2680f5e /2004/n/fpga/src/portserie/decoder.vhd
parentcadaee918c25d2d5dcdc37410594fdb67635326f (diff)
Testbench ajouté
Diffstat (limited to '2004/n/fpga/src/portserie/decoder.vhd')
-rw-r--r--2004/n/fpga/src/portserie/decoder.vhd3
1 files changed, 2 insertions, 1 deletions
diff --git a/2004/n/fpga/src/portserie/decoder.vhd b/2004/n/fpga/src/portserie/decoder.vhd
index 219f308..8ca3a67 100644
--- a/2004/n/fpga/src/portserie/decoder.vhd
+++ b/2004/n/fpga/src/portserie/decoder.vhd
@@ -13,7 +13,7 @@ use work.nono_const.all;
entity decoder is
generic(adr : T_ADDRESS);
port(
- bus_address : in T_ADDRESS
+ bus_address : in T_ADDRESS;
cs: out std_logic
);
end decoder;
@@ -31,3 +31,4 @@ begin
end process;
end rtl;
+