summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/decoder.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/decoder.vhd')
-rw-r--r--2004/n/fpga/src/portserie/decoder.vhd3
1 files changed, 2 insertions, 1 deletions
diff --git a/2004/n/fpga/src/portserie/decoder.vhd b/2004/n/fpga/src/portserie/decoder.vhd
index 219f308..8ca3a67 100644
--- a/2004/n/fpga/src/portserie/decoder.vhd
+++ b/2004/n/fpga/src/portserie/decoder.vhd
@@ -13,7 +13,7 @@ use work.nono_const.all;
entity decoder is
generic(adr : T_ADDRESS);
port(
- bus_address : in T_ADDRESS
+ bus_address : in T_ADDRESS;
cs: out std_logic
);
end decoder;
@@ -31,3 +31,4 @@ begin
end process;
end rtl;
+