summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/decoder.vhd
blob: 8ca3a6751550a9e919557c36c0a83f9da8fe54c9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
-- decoder.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre Prot
-- d�codeur

library ieee;
use	ieee.std_logic_1164.all;
use     ieee.std_logic_arith.all;
use     ieee.std_logic_unsigned.all;

use	work.nono_const.all;

entity decoder is
    generic(adr : T_ADDRESS);
    port(
	bus_address : in T_ADDRESS;
	cs:	out   std_logic
	);
end decoder;

architecture rtl of decoder is
begin
process(bus_address)
begin
	if(bus_address=adr)
	then
	    cs<='1';
	else
	    cs<='0';
	end if;
end process;
end rtl;