summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/servo/servo_nono.vhd
diff options
context:
space:
mode:
authorgalmes2004-04-28 18:42:51 +0000
committergalmes2004-04-28 18:42:51 +0000
commitd2a98f3be9760f2cfee013be89356b374537f43a (patch)
treef041910d6a728bdbb7c6fb4baac76512321adf0f /2004/n/fpga/src/servo/servo_nono.vhd
parent944910842767a26c9d2dac92f4acd094576579f1 (diff)
servo : Mise en page des fichiers, des commentaires...
Diffstat (limited to '2004/n/fpga/src/servo/servo_nono.vhd')
-rw-r--r--2004/n/fpga/src/servo/servo_nono.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/2004/n/fpga/src/servo/servo_nono.vhd b/2004/n/fpga/src/servo/servo_nono.vhd
index a6c1d95..88ea4ac 100644
--- a/2004/n/fpga/src/servo/servo_nono.vhd
+++ b/2004/n/fpga/src/servo/servo_nono.vhd
@@ -1,7 +1,7 @@
-- servo_nono.vhd
-- Eurobot 2004 : APB Team
--- Auteur : Fidèle GAFAN et Pierre-andré Galmes
--- Bloc de génération de servo.
+-- Auteur : Pierre-andré Galmes
+-- Bloc de gestion de servos.
library IEEE;
use IEEE.std_logic_1164.all;