summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/servo/servo_nono.vhd
blob: 88ea4ac8174953e7ac98f640505eae442c3073b2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
-- servo_nono.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-andr� Galmes
-- Bloc de gestion de servos.

library IEEE;
use IEEE.std_logic_1164.all;

use	work.nono_const.all;
use	work.isa_const.all;
use	work.servo_const.all;

-- ENTITY
entity servo_nono is
port(  
    rst		: in std_logic;
    clk		: in std_logic;
    rw		: in std_logic;
    cs		: in std_logic;
    bus_data	: inout T_DATA;
    outservo	: out std_logic
);
end servo_nono;


-- ARCHITECTURE
architecture RTL of servo_nono is

-- Registre.
component reg_rw is
port (
    clk 	: in std_logic;
    rst 	: in std_logic;
    rw  	: in std_logic; -- read (ISA_READ) / write (ISA_WRITE)
    enable  	: in std_logic;
    data 	: inout T_DATA;
    data_out 	: out T_DATA 	-- data courant
);
end component;

-- todo : supprimer l'horloge du moule et le mettre sur la carte !
-- G�n�rateur d'horloge � 1us.
component clk1us is
port(
    RST		: in std_logic;
    CLK		: in std_logic;  --40MHz
    CLK1USOUT	: out std_logic
);
end component;

-- Convertisseur [0-255] vers un temps.
component convert_servo is
port(
    data_in	: in T_OCTET;
    data_out	: out T_DOUBLE_OCTET --duree pdt laql la sortie
);
end component;

-- G�n�rateur de servo.
component servo_generator is
port ( 
    rst 	: in std_logic;
    clk 	: in std_logic;
    servo_in	: in T_DOUBLE_OCTET;
    servo_out	: out std_logic
);
end component;


--DECLARATION DES SIGNAUX LOCAUX
signal clkdiv 	: std_logic;
signal reg_out		: T_OCTET;	
signal convert_out	: T_DOUBLE_OCTET;	


begin
-- Mapping DES SIGNAUX.

--
registre : reg_rw 
port map(
    clk,
    rst,
    rw,
    cs,
    bus_data,
    reg_out
);

--
Clock_div : clk1us 
port map(
    rst,
    clk,
    clkdiv
);

--
convert_nono : convert_servo
port map(
    reg_out,
    convert_out	
);

--
servo_gene : servo_generator
port map(
    rst,
    clkdiv,
    convert_out,
    outservo 
);


end RTL;