summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/fifo.vhd
diff options
context:
space:
mode:
authorprot2004-02-24 21:08:51 +0000
committerprot2004-02-24 21:08:51 +0000
commitf8ee5b24be92c6709781e17c6f8ff358f5986558 (patch)
treeefe560a4f0e7f93da82dc6b00fb808b8c2680f5e /2004/n/fpga/src/portserie/fifo.vhd
parentcadaee918c25d2d5dcdc37410594fdb67635326f (diff)
Testbench ajouté
Diffstat (limited to '2004/n/fpga/src/portserie/fifo.vhd')
-rw-r--r--2004/n/fpga/src/portserie/fifo.vhd3
1 files changed, 2 insertions, 1 deletions
diff --git a/2004/n/fpga/src/portserie/fifo.vhd b/2004/n/fpga/src/portserie/fifo.vhd
index 79303f4..925dbf0 100644
--- a/2004/n/fpga/src/portserie/fifo.vhd
+++ b/2004/n/fpga/src/portserie/fifo.vhd
@@ -14,7 +14,7 @@ use work.nono_const.all;
entity fifo is
port(
data_in: in T_DATA;
- data_out: out T_ADDRESS;
+ data_out: out T_DATA;
ck: in std_logic;
ck_in: in std_logic;
ck_out: in std_logic;
@@ -100,3 +100,4 @@ end rtl;
+