summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/fifo.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/fifo.vhd')
-rw-r--r--2004/n/fpga/src/portserie/fifo.vhd3
1 files changed, 2 insertions, 1 deletions
diff --git a/2004/n/fpga/src/portserie/fifo.vhd b/2004/n/fpga/src/portserie/fifo.vhd
index 79303f4..925dbf0 100644
--- a/2004/n/fpga/src/portserie/fifo.vhd
+++ b/2004/n/fpga/src/portserie/fifo.vhd
@@ -14,7 +14,7 @@ use work.nono_const.all;
entity fifo is
port(
data_in: in T_DATA;
- data_out: out T_ADDRESS;
+ data_out: out T_DATA;
ck: in std_logic;
ck_in: in std_logic;
ck_out: in std_logic;
@@ -100,3 +100,4 @@ end rtl;
+