summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/packages
diff options
context:
space:
mode:
authorgalmes2004-04-05 06:38:31 +0000
committergalmes2004-04-05 06:38:31 +0000
commit171698e44fc149569cfe042b28246745a58ea4fb (patch)
treed46348f04f40a6a24d5a679eacd33e22fc99271f /2004/n/fpga/src/packages
parent2ab1756c24eac0dbe329228e033656836eeaccfb (diff)
isa_const et nono_const : suppression des constantes de temps (ISA_CK_PERIOD
et CK_PERIOD). reg_rw : suppresion de code en commentaire.
Diffstat (limited to '2004/n/fpga/src/packages')
-rw-r--r--2004/n/fpga/src/packages/isa_const.vhd2
-rw-r--r--2004/n/fpga/src/packages/nono_const.vhd2
2 files changed, 2 insertions, 2 deletions
diff --git a/2004/n/fpga/src/packages/isa_const.vhd b/2004/n/fpga/src/packages/isa_const.vhd
index 88f558d..fc914c8 100644
--- a/2004/n/fpga/src/packages/isa_const.vhd
+++ b/2004/n/fpga/src/packages/isa_const.vhd
@@ -18,7 +18,7 @@ use ieee.std_logic_unsigned.all;
package isa_const is
-- Temps d'une période d'horloge sur le bus ISA
- constant ISA_CK_PERIOD : time := 50 ns;
+ --constant ISA_CK_PERIOD : time := 50 ns;
-- Ligne RW : lecture et écriture
constant ISA_READ : std_logic := '1';
diff --git a/2004/n/fpga/src/packages/nono_const.vhd b/2004/n/fpga/src/packages/nono_const.vhd
index e7b0454..3bd2f00 100644
--- a/2004/n/fpga/src/packages/nono_const.vhd
+++ b/2004/n/fpga/src/packages/nono_const.vhd
@@ -18,7 +18,7 @@ use ieee.std_logic_unsigned.all;
package nono_const is
-- Temps d'une période d'horloge sur la carte
- constant CK_PERIOD : time := 10 ns;
+ --constant CK_PERIOD : time := 10 ns;
-- Taille d'une addresse sur la carte
-- RQ : 10 = nb suffisant