summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/packages/isa_const.vhd
blob: 88f558d3d68740d4fd07824a79f13c6c4cfb9c61 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
-- isa_const.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Fichier de d�claration de constantes.

-- RQ : pour une indentation bien sous vim : 
--	1 - ":set shiftwidth=4"
-- 	2 - se placer sous "package nono_const is"
--	3 - tapez : = puis shift+G

library ieee;
use	ieee.std_logic_1164.all;
use	ieee.std_logic_arith.all;
use	ieee.std_logic_unsigned.all;


-- Constantes relatives au bus ISA
package isa_const is
    
    -- Temps d'une p�riode d'horloge sur le bus ISA
    constant ISA_CK_PERIOD : time := 50 ns;
    
    -- Ligne RW : lecture et �criture
    constant ISA_READ : std_logic := '1';
    constant ISA_WRITE : std_logic := '0';

    -- Comportement de la ligne IRQ. 
    constant IRQ_ON : std_logic := '0';
    constant IRQ_OFF : std_logic := '1'; -- TODO : est-ce pas 'Z' ?

    -- Nombre de bits du bus d'adresse
    constant NB_BIT_ADDRESS_ISA : integer := 20;
    subtype T_ADDRESS_ISA is std_logic_vector(
    		(NB_BIT_ADDRESS_ISA - 1) downto 0);
    
end isa_const;