summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/packages/isa_const.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/packages/isa_const.vhd')
-rw-r--r--2004/n/fpga/src/packages/isa_const.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/2004/n/fpga/src/packages/isa_const.vhd b/2004/n/fpga/src/packages/isa_const.vhd
index 88f558d..fc914c8 100644
--- a/2004/n/fpga/src/packages/isa_const.vhd
+++ b/2004/n/fpga/src/packages/isa_const.vhd
@@ -18,7 +18,7 @@ use ieee.std_logic_unsigned.all;
package isa_const is
-- Temps d'une période d'horloge sur le bus ISA
- constant ISA_CK_PERIOD : time := 50 ns;
+ --constant ISA_CK_PERIOD : time := 50 ns;
-- Ligne RW : lecture et écriture
constant ISA_READ : std_logic := '1';