summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/registre/isa_const.vhd
blob: 12ab384ff9409e3388fc9c7fe3c8387c8bf069c6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
-- isa_const.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Fichier de d�claration de constantes.

-- RQ : pour une indentation bien sous vim : 
--	1 - ":set shiftwidth=4"
-- 	2 - se placer sous "package nono_const is"
--	3 - tapez : = puis shift+G

library ieee;
use	ieee.std_logic_1164.all;
use	ieee.std_logic_arith.all;
use	ieee.std_logic_unsigned.all;


-- Constantes relatives au bus ISA
package isa_const is
    
    -- Temps d'une p�riode d'horloge sur le bus ISA
    constant ISA_CK_PERIOD : time := 50 ns;
    
    -- Ligne RW : lecture et �criture
    constant ISA_READ : std_logic := '0';
    constant ISA_WRITE : std_logic := '1';
end isa_const;