summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/registre/isa_const.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/registre/isa_const.vhd')
-rw-r--r--2004/n/fpga/src/registre/isa_const.vhd27
1 files changed, 27 insertions, 0 deletions
diff --git a/2004/n/fpga/src/registre/isa_const.vhd b/2004/n/fpga/src/registre/isa_const.vhd
new file mode 100644
index 0000000..12ab384
--- /dev/null
+++ b/2004/n/fpga/src/registre/isa_const.vhd
@@ -0,0 +1,27 @@
+-- isa_const.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Fichier de déclaration de constantes.
+
+-- RQ : pour une indentation bien sous vim :
+-- 1 - ":set shiftwidth=4"
+-- 2 - se placer sous "package nono_const is"
+-- 3 - tapez : = puis shift+G
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+
+
+-- Constantes relatives au bus ISA
+package isa_const is
+
+ -- Temps d'une période d'horloge sur le bus ISA
+ constant ISA_CK_PERIOD : time := 50 ns;
+
+ -- Ligne RW : lecture et écriture
+ constant ISA_READ : std_logic := '0';
+ constant ISA_WRITE : std_logic := '1';
+end isa_const;
+