summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/decoder.vhd
blob: 4eb472444c54badb7fa3dd666c941501de9416ef (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
-- decoder.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre Prot
-- d�codeur

library ieee;
use	ieee.std_logic_1164.all;
use     ieee.std_logic_arith.all;
use     ieee.std_logic_unsigned.all;

use	work.nono_const.all;

entity decoder is
    generic(adr : T_ADDRESS:="0000000000");
    port(
	bus_address : in T_ADDRESS;
	cs:	out   std_logic:='0'
	);
end decoder;

architecture rtl of decoder is
begin
process(bus_address)
begin
	if(bus_address = adr)
	then
	    cs<='1';
	else
	    cs<='0';
	end if;
end process;
end rtl;