-- decoder.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre Prot -- décodeur library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.nono_const.all; entity decoder is generic(adr : T_ADDRESS:="0000000000"); port( bus_address : in T_ADDRESS; cs: out std_logic:='0' ); end decoder; architecture rtl of decoder is begin process(bus_address) begin if(bus_address = adr) then cs<='1'; else cs<='0'; end if; end process; end rtl;