summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/modele/bch_modele.vhd
blob: f81333544ac55d569daa66a5f229b67c9da44fe9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
-- modele.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Fichier mod�le pour la d�claration de module.

library ieee;
use	ieee.std_logic_1164.all;
use     ieee.std_logic_arith.all;
use     ieee.std_logic_unsigned.all;

use	work.nono_const.all;


entity bch_modele is
end bch_modele;

architecture sim1 of bch_modele is
    
    component modele
	generic (
	    -- adresses des diff�rents registres du module.
	    A_REG1 : T_ADDRESS;
	    A_REG2 : T_ADDRESS;
	    A_REG3 : T_ADDRESS
	    -- si autre choses � d�clarer...
	);
	port (
	    rst : in std_logic;
	    clk : in std_logic;
	    rw  : in std_logic; -- read / write
	    bus_data : inout T_DATA;
	    bus_address : in T_ADDRESS
	);
    end component;

    -- d�finiton des signaux
    signal rst : std_logic;
    signal clk : std_logic := '0';
    signal rw  : std_logic; -- read / write
    signal bus_data : T_DATA;
    signal bus_address : T_ADDRESS;

begin
    U1 : modele
    	generic map (
	    -- D�finition des addresses.
	    A_REG1 => A_IO1_REG_DIRECTION,
	    A_REG2 => A_IO1_REG_DATA,
	    A_REG3 => A_IO1_REG_INTERRUPT_MASK
	)
	port map (		
	    rst => rst,
	    clk => clk,
	    rw => rw,
	    bus_data => bus_data,
	    bus_address => bus_address
	);

    rst <= '1', '0' after CK_PERIOD;
    clk <= not clk after (CK_PERIOD/2);
    rw <= '0';
    bus_address <=	A_IO1_REG_DIRECTION, 
			A_IO1_REG_DATA after 3*CK_PERIOD,
			A_IO1_REG_INTERRUPT_MASK	after 5*CK_PERIOD;
end sim1;

configuration cf1_bch_modele of bch_modele is
    for sim1
        for all : modele use entity work.modele(test_modele); end for;
    end for;
end cf1_bch_modele;