summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/interrupt/IRQ_manager.vhd
blob: 47359a7fcc87128fb184948efb775c58d48360de (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
-- IRQ_manager.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Bloc de gestion des IRQ (~ OU logique).

-- Principe :
-- Bloc trois �tats (three-state) qui met les sorties en hautes imp�dance si
-- elle ne sont pas "enabled".

library ieee;
use	ieee.std_logic_1164.all;
--use     ieee.std_logic_arith.all;
--use     ieee.std_logic_unsigned.all;

use	work.isa_const.all;
use	work.nono_const.all;


entity IRQ_manager is
    port (
    	it_detected : in std_logic_vector(2 downto 0);
	IRQ  : out std_logic
    );
end entity;

architecture RTL of IRQ_manager is
begin
    -- partie combinatoire.
    IRQ <=  IRQ_ON when (it_detected /= "000") else IRQ_OFF;
end RTL;