-- IRQ_manager.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre-André Galmes -- Bloc de gestion des IRQ (~ OU logique). -- Principe : -- Bloc trois états (three-state) qui met les sorties en hautes impédance si -- elle ne sont pas "enabled". library ieee; use ieee.std_logic_1164.all; --use ieee.std_logic_arith.all; --use ieee.std_logic_unsigned.all; use work.isa_const.all; use work.nono_const.all; entity IRQ_manager is port ( it_detected : in std_logic_vector(2 downto 0); IRQ : out std_logic ); end entity; architecture RTL of IRQ_manager is begin -- partie combinatoire. IRQ <= IRQ_ON when (it_detected /= "000") else IRQ_OFF; end RTL;