summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/ISE_bch/gpio_it_detect_tbw.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/gpio/ISE_bch/gpio_it_detect_tbw.vhd')
-rw-r--r--2004/n/fpga/src/gpio/ISE_bch/gpio_it_detect_tbw.vhd149
1 files changed, 149 insertions, 0 deletions
diff --git a/2004/n/fpga/src/gpio/ISE_bch/gpio_it_detect_tbw.vhd b/2004/n/fpga/src/gpio/ISE_bch/gpio_it_detect_tbw.vhd
new file mode 100644
index 0000000..9b1fc14
--- /dev/null
+++ b/2004/n/fpga/src/gpio/ISE_bch/gpio_it_detect_tbw.vhd
@@ -0,0 +1,149 @@
+-- F:\COURS\I2\ELECTRONIQUE\VHDL\PROJET\CARTE_FPGA\GPIO
+-- VHDL Test Bench created by
+-- HDL Bencher 6.1i
+-- Thu Mar 18 10:28:20 2004
+--
+-- Notes:
+-- 1) This testbench has been automatically generated from
+-- your Test Bench Waveform
+-- 2) To use this as a user modifiable testbench do the following:
+-- - Save it as a file with a .vhd extension (i.e. File->Save As...)
+-- - Add it to your project as a testbench source (i.e. Project->Add Source...)
+--
+
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+LIBRARY WORK;
+USE WORK.ISA_CONST.ALL;
+USE WORK.NONO_CONST.ALL;
+USE IEEE.STD_LOGIC_TEXTIO.ALL;
+USE STD.TEXTIO.ALL;
+
+ENTITY gpio_it_detect_tbw IS
+END gpio_it_detect_tbw;
+
+ARCHITECTURE testbench_arch OF gpio_it_detect_tbw IS
+-- If you get a compiler error on the following line,
+-- from the menu do Options->Configuration select VHDL 87
+FILE RESULTS: TEXT OPEN WRITE_MODE IS "results.txt";
+ COMPONENT gpio_it_detect
+ PORT (
+ clk : In std_logic;
+ rst : In std_logic;
+ data_in : In std_logic_vector (7 DOWNTO 0);
+ it_mask : In std_logic_vector (7 DOWNTO 0);
+ it_detected : Out std_logic
+ );
+ END COMPONENT;
+
+ SIGNAL clk : std_logic;
+ SIGNAL rst : std_logic;
+ SIGNAL data_in : std_logic_vector (7 DOWNTO 0);
+ SIGNAL it_mask : std_logic_vector (7 DOWNTO 0);
+ SIGNAL it_detected : std_logic;
+
+BEGIN
+ UUT : gpio_it_detect
+ PORT MAP (
+ clk => clk,
+ rst => rst,
+ data_in => data_in,
+ it_mask => it_mask,
+ it_detected => it_detected
+ );
+
+ PROCESS -- clock process for clk,
+ BEGIN
+ CLOCK_LOOP : LOOP
+ clk <= transport '0';
+ WAIT FOR 10 ns;
+ clk <= transport '1';
+ WAIT FOR 10 ns;
+ WAIT FOR 40 ns;
+ clk <= transport '0';
+ WAIT FOR 40 ns;
+ END LOOP CLOCK_LOOP;
+ END PROCESS;
+
+ PROCESS -- Process for clk
+ VARIABLE TX_OUT : LINE;
+ VARIABLE TX_ERROR : INTEGER := 0;
+
+ PROCEDURE CHECK_it_detected(
+ next_it_detected : std_logic;
+ TX_TIME : INTEGER
+ ) IS
+ VARIABLE TX_STR : String(1 to 4096);
+ VARIABLE TX_LOC : LINE;
+ BEGIN
+ -- If compiler error ("/=" is ambiguous) occurs in the next line of code
+ -- change compiler settings to use explicit declarations only
+ IF (it_detected /= next_it_detected) THEN
+ STD.TEXTIO.write(TX_LOC,string'("Error at time="));
+ STD.TEXTIO.write(TX_LOC, TX_TIME);
+ STD.TEXTIO.write(TX_LOC,string'("ns it_detected="));
+ IEEE.STD_LOGIC_TEXTIO.write(TX_LOC, it_detected);
+ STD.TEXTIO.write(TX_LOC, string'(", Expected = "));
+ IEEE.STD_LOGIC_TEXTIO.write(TX_LOC, next_it_detected);
+ STD.TEXTIO.write(TX_LOC, string'(" "));
+ TX_STR(TX_LOC.all'range) := TX_LOC.all;
+ STD.TEXTIO.writeline(results, TX_LOC);
+ STD.TEXTIO.Deallocate(TX_LOC);
+ ASSERT (FALSE) REPORT TX_STR SEVERITY ERROR;
+ TX_ERROR := TX_ERROR + 1;
+ END IF;
+ END;
+
+ BEGIN
+ -- --------------------
+ rst <= transport '1';
+ data_in <= transport std_logic_vector'("00000010"); --2
+ it_mask <= transport std_logic_vector'("00000010"); --2
+ -- --------------------
+ WAIT FOR 50 ns; -- Time=50 ns
+ rst <= transport '0';
+ -- --------------------
+ WAIT FOR 50 ns; -- Time=100 ns
+ rst <= transport '0';
+ -- --------------------
+ WAIT FOR 50 ns; -- Time=150 ns
+ it_mask <= transport std_logic_vector'("00000010"); --2
+ -- --------------------
+ WAIT FOR 200 ns; -- Time=350 ns
+ data_in <= transport std_logic_vector'("00001000"); --8
+ it_mask <= transport std_logic_vector'("00000001"); --1
+ -- --------------------
+ WAIT FOR 100 ns; -- Time=450 ns
+ data_in <= transport std_logic_vector'("00000001"); --1
+ -- --------------------
+ WAIT FOR 100 ns; -- Time=550 ns
+ rst <= transport '1';
+ -- --------------------
+ WAIT FOR 50 ns; -- Time=600 ns
+ rst <= transport '0';
+ -- --------------------
+ WAIT FOR 250 ns; -- Time=850 ns
+ -- --------------------
+
+ IF (TX_ERROR = 0) THEN
+ STD.TEXTIO.write(TX_OUT,string'("No errors or warnings"));
+ STD.TEXTIO.writeline(results, TX_OUT);
+ ASSERT (FALSE) REPORT
+ "Simulation successful (not a failure). No problems detected. "
+ SEVERITY FAILURE;
+ ELSE
+ STD.TEXTIO.write(TX_OUT, TX_ERROR);
+ STD.TEXTIO.write(TX_OUT, string'(
+ " errors found in simulation"));
+ STD.TEXTIO.writeline(results, TX_OUT);
+ ASSERT (FALSE) REPORT
+ "Errors found during simulation"
+ SEVERITY FAILURE;
+ END IF;
+ END PROCESS;
+END testbench_arch;
+
+CONFIGURATION gpio_it_detect_cfg OF gpio_it_detect_tbw IS
+ FOR testbench_arch
+ END FOR;
+END gpio_it_detect_cfg;