summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/registre/ISE_bch
diff options
context:
space:
mode:
authorgalmes2004-03-18 13:00:06 +0000
committergalmes2004-03-18 13:00:06 +0000
commite9078d509d9634b853958576ac7de4960b1e1f10 (patch)
tree8fd1c666b9344cd0ed8386f4728b7683c74f507e /2004/n/fpga/src/registre/ISE_bch
parent0213d3145f743a12e13b5b7602f0614e444a36a7 (diff)
Ajout des fichiers de bench sous ISE
Diffstat (limited to '2004/n/fpga/src/registre/ISE_bch')
-rw-r--r--2004/n/fpga/src/registre/ISE_bch/reg_rw_tbw.tbw47
-rw-r--r--2004/n/fpga/src/registre/ISE_bch/reg_rw_tbw.vhd174
2 files changed, 221 insertions, 0 deletions
diff --git a/2004/n/fpga/src/registre/ISE_bch/reg_rw_tbw.tbw b/2004/n/fpga/src/registre/ISE_bch/reg_rw_tbw.tbw
new file mode 100644
index 0000000..c4ec12e
--- /dev/null
+++ b/2004/n/fpga/src/registre/ISE_bch/reg_rw_tbw.tbw
@@ -0,0 +1,47 @@
+info x 37 510 0 0 0 0 0 0 0 0 0 0 0 0 0 0 VHDL
+col x 257 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+radix x 16 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+entity name 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 reg_rw
+term mark 30 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+vlib save 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+library work;
+use work.isa_const.all;
+use work.nono_const.all;
+
+var add 1 0 0 226 23 0 257 100 50 50 10 10 0 0 0 0 clkInstd_logicFALLING_EDGEclk
+var add 2 0 0 98 24 0 257 100 50 50 10 10 0 0 0 0 rstInstd_logicFALLING_EDGEclk
+var add 3 0 0 98 25 0 257 100 50 50 10 10 0 0 0 0 rwInstd_logicFALLING_EDGEclk
+var add 4 0 0 98 26 0 257 100 50 50 10 10 0 0 0 0 enableInstd_logicFALLING_EDGEclk
+var add 6 7 0 100 28 0 257 100 50 50 10 10 0 0 0 0 data_outOutstd_logic_vectorFALLING_EDGEclk
+var add 5 7 0 100 27 0 257 100 50 50 10 10 0 0 0 0 dataInOutstd_logic_vectorFALLING_EDGEclk
+vdone xxx 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+npos xxx 120 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+cell fill 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1
+cell fill 2 4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cell fill 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cell fill 3 8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1
+cell fill 4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cell fill 4 4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1
+cell fill 4 8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cell fill 4 16 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1
+cell fill 4 20 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cell fill 6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 00000001
+cell fill 6 8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 00000010
+cell fill 6 16 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ZZZZZZZZ
+time info 50 50 10 10 50 50 1 0 0 0 0 0 0 0 0 0 nsclk
+font save -14 0 400 49 0 0 0 0 0 0 0 0 0 0 0 0 Times New Roman
+src mod 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 f:\cours\i2\electronique\vhdl\projet\carte_fpga\src\registre\reg_rw.vhd
+utd false 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+cellenab on 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+grid on 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+com add 1 1 16 499 11 -16 -95 0 0 0 0 0 0 0 0 0 Waveform created by
+HDL Bencher 6.1i
+Source = f:\cours\i2\electronique\vhdl\projet\carte_fpga\src\registre\reg_rw.vhd
+Sat Mar 13 17:10:47 2004
+opt vhdl87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+NumClocks x 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 
+clock_1 name 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 clk
+Zoom_level x 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0.66666666666667
diff --git a/2004/n/fpga/src/registre/ISE_bch/reg_rw_tbw.vhd b/2004/n/fpga/src/registre/ISE_bch/reg_rw_tbw.vhd
new file mode 100644
index 0000000..2a78156
--- /dev/null
+++ b/2004/n/fpga/src/registre/ISE_bch/reg_rw_tbw.vhd
@@ -0,0 +1,174 @@
+-- F:\COURS\I2\ELECTRONIQUE\VHDL\PROJET\CARTE_FPGA\REG_RW
+-- VHDL Test Bench created by
+-- HDL Bencher 6.1i
+-- Tue Mar 16 09:26:23 2004
+--
+-- Notes:
+-- 1) This testbench has been automatically generated from
+-- your Test Bench Waveform
+-- 2) To use this as a user modifiable testbench do the following:
+-- - Save it as a file with a .vhd extension (i.e. File->Save As...)
+-- - Add it to your project as a testbench source (i.e. Project->Add Source...)
+--
+
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE IEEE.STD_LOGIC_ARITH.ALL;
+USE IEEE.STD_LOGIC_UNSIGNED.ALL;
+LIBRARY WORK;
+USE WORK.ISA_CONST.ALL;
+USE WORK.NONO_CONST.ALL;
+USE IEEE.STD_LOGIC_TEXTIO.ALL;
+USE STD.TEXTIO.ALL;
+
+ENTITY reg_rw_tbw IS
+END reg_rw_tbw;
+
+ARCHITECTURE testbench_arch OF reg_rw_tbw IS
+-- If you get a compiler error on the following line,
+-- from the menu do Options->Configuration select VHDL 87
+FILE RESULTS: TEXT OPEN WRITE_MODE IS "results.txt";
+ COMPONENT reg_rw
+ PORT (
+ clk : In std_logic;
+ rst : In std_logic;
+ rw : In std_logic;
+ enable : In std_logic;
+ data : InOut std_logic_vector (7 DOWNTO 0);
+ data_out : Out std_logic_vector (7 DOWNTO 0)
+ );
+ END COMPONENT;
+
+ SIGNAL clk : std_logic;
+ SIGNAL rst : std_logic;
+ SIGNAL rw : std_logic;
+ SIGNAL enable : std_logic;
+ SIGNAL data : std_logic_vector (7 DOWNTO 0);
+ SIGNAL data_out : std_logic_vector (7 DOWNTO 0);
+
+BEGIN
+ UUT : reg_rw
+ PORT MAP (
+ clk => clk,
+ rst => rst,
+ rw => rw,
+ enable => enable,
+ data => data,
+ data_out => data_out
+ );
+
+ PROCESS -- clock process for clk,
+ BEGIN
+ CLOCK_LOOP : LOOP
+ clk <= transport '1';
+ WAIT FOR 10 ns;
+ clk <= transport '0';
+ WAIT FOR 10 ns;
+ WAIT FOR 40 ns;
+ clk <= transport '1';
+ WAIT FOR 40 ns;
+ END LOOP CLOCK_LOOP;
+ END PROCESS;
+
+ PROCESS -- Process for clk
+ VARIABLE TX_OUT : LINE;
+ VARIABLE TX_ERROR : INTEGER := 0;
+
+ PROCEDURE CHECK_data_out(
+ next_data_out : std_logic_vector (7 DOWNTO 0);
+ TX_TIME : INTEGER
+ ) IS
+ VARIABLE TX_STR : String(1 to 4096);
+ VARIABLE TX_LOC : LINE;
+ BEGIN
+ -- If compiler error ("/=" is ambiguous) occurs in the next line of code
+ -- change compiler settings to use explicit declarations only
+ IF (data_out /= next_data_out) THEN
+ STD.TEXTIO.write(TX_LOC,string'("Error at time="));
+ STD.TEXTIO.write(TX_LOC, TX_TIME);
+ STD.TEXTIO.write(TX_LOC,string'("ns data_out="));
+ IEEE.STD_LOGIC_TEXTIO.write(TX_LOC, data_out);
+ STD.TEXTIO.write(TX_LOC, string'(", Expected = "));
+ IEEE.STD_LOGIC_TEXTIO.write(TX_LOC, next_data_out);
+ STD.TEXTIO.write(TX_LOC, string'(" "));
+ TX_STR(TX_LOC.all'range) := TX_LOC.all;
+ STD.TEXTIO.writeline(results, TX_LOC);
+ STD.TEXTIO.Deallocate(TX_LOC);
+ ASSERT (FALSE) REPORT TX_STR SEVERITY ERROR;
+ TX_ERROR := TX_ERROR + 1;
+ END IF;
+ END;
+
+ PROCEDURE CHECK_data(
+ next_data : std_logic_vector (7 DOWNTO 0);
+ TX_TIME : INTEGER
+ ) IS
+ VARIABLE TX_STR : String(1 to 4096);
+ VARIABLE TX_LOC : LINE;
+ BEGIN
+ -- If compiler error ("/=" is ambiguous) occurs in the next line of code
+ -- change compiler settings to use explicit declarations only
+ IF (data /= next_data) THEN
+ STD.TEXTIO.write(TX_LOC,string'("Error at time="));
+ STD.TEXTIO.write(TX_LOC, TX_TIME);
+ STD.TEXTIO.write(TX_LOC,string'("ns data="));
+ IEEE.STD_LOGIC_TEXTIO.write(TX_LOC, data);
+ STD.TEXTIO.write(TX_LOC, string'(", Expected = "));
+ IEEE.STD_LOGIC_TEXTIO.write(TX_LOC, next_data);
+ STD.TEXTIO.write(TX_LOC, string'(" "));
+ TX_STR(TX_LOC.all'range) := TX_LOC.all;
+ STD.TEXTIO.writeline(results, TX_LOC);
+ STD.TEXTIO.Deallocate(TX_LOC);
+ ASSERT (FALSE) REPORT TX_STR SEVERITY ERROR;
+ TX_ERROR := TX_ERROR + 1;
+ END IF;
+ END;
+
+ BEGIN
+ -- --------------------
+ rst <= transport '1';
+ rw <= transport '0';
+ enable <= transport '0';
+ data <= transport std_logic_vector'("00000001"); --1
+ -- --------------------
+ WAIT FOR 100 ns; -- Time=100 ns
+ rst <= transport '0';
+ enable <= transport '1';
+ -- --------------------
+ WAIT FOR 100 ns; -- Time=200 ns
+ rw <= transport '1';
+ enable <= transport '0';
+ data <= transport std_logic_vector'("00000010"); --2
+ -- --------------------
+ WAIT FOR 200 ns; -- Time=400 ns
+ enable <= transport '1';
+ data <= transport std_logic_vector'("ZZZZZZZZ"); --Z
+ -- --------------------
+ WAIT FOR 100 ns; -- Time=500 ns
+ enable <= transport '0';
+ -- --------------------
+ WAIT FOR 320 ns; -- Time=820 ns
+ -- --------------------
+
+ IF (TX_ERROR = 0) THEN
+ STD.TEXTIO.write(TX_OUT,string'("No errors or warnings"));
+ STD.TEXTIO.writeline(results, TX_OUT);
+ ASSERT (FALSE) REPORT
+ "Simulation successful (not a failure). No problems detected. "
+ SEVERITY FAILURE;
+ ELSE
+ STD.TEXTIO.write(TX_OUT, TX_ERROR);
+ STD.TEXTIO.write(TX_OUT, string'(
+ " errors found in simulation"));
+ STD.TEXTIO.writeline(results, TX_OUT);
+ ASSERT (FALSE) REPORT
+ "Errors found during simulation"
+ SEVERITY FAILURE;
+ END IF;
+ END PROCESS;
+END testbench_arch;
+
+CONFIGURATION reg_rw_cfg OF reg_rw_tbw IS
+ FOR testbench_arch
+ END FOR;
+END reg_rw_cfg;