summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/modele.vhd
diff options
context:
space:
mode:
authorprot2004-03-15 00:04:52 +0000
committerprot2004-03-15 00:04:52 +0000
commit3081317e0e8ab5906766e7281cc838378c926bab (patch)
tree6317e4268ed8ac7335394eb2a27171008d9eb40f /2004/n/fpga/src/portserie/modele.vhd
parent2698ec1d2251323bdcc992295179c76b4686ab1e (diff)
menage anciens
Diffstat (limited to '2004/n/fpga/src/portserie/modele.vhd')
-rw-r--r--2004/n/fpga/src/portserie/modele.vhd15
1 files changed, 0 insertions, 15 deletions
diff --git a/2004/n/fpga/src/portserie/modele.vhd b/2004/n/fpga/src/portserie/modele.vhd
deleted file mode 100644
index a9f700b..0000000
--- a/2004/n/fpga/src/portserie/modele.vhd
+++ /dev/null
@@ -1,15 +0,0 @@
-entity modele is
- generic (
- -- adresses des différents registres du module.
- A_REG1 : T_ADDRESS;
- A_REG2 : T_ADDRESS;
- A_REG3 : T_ADDRESS
- -- si autre choses à déclarer...
- );
- port (
- rst : in std_logic;
- clk : in std_logic;
- rw : in std_logic; -- read (0) / write (1)
- bus_data : inout T_DATA;
- bus_address : in T_ADDRESS
-