summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/fifo.vhd
diff options
context:
space:
mode:
authorprot2004-02-24 15:13:50 +0000
committerprot2004-02-24 15:13:50 +0000
commit1287e98de906f1f6a15f2cdc5762bba729a9dd81 (patch)
tree746689c0cffb43af3e97adae1e649612a8b9dee2 /2004/n/fpga/src/portserie/fifo.vhd
parentcf51db95b11529fcb2a848458745eed3f32612e5 (diff)
Conversions de type unsigned<->std_logic_vector
C'est chiant !
Diffstat (limited to '2004/n/fpga/src/portserie/fifo.vhd')
-rw-r--r--2004/n/fpga/src/portserie/fifo.vhd9
1 files changed, 5 insertions, 4 deletions
diff --git a/2004/n/fpga/src/portserie/fifo.vhd b/2004/n/fpga/src/portserie/fifo.vhd
index a238929..04ff690 100644
--- a/2004/n/fpga/src/portserie/fifo.vhd
+++ b/2004/n/fpga/src/portserie/fifo.vhd
@@ -49,6 +49,7 @@ end component;
-- en std_logic_vector :
signal data_in_s: std_logic_vector(7 downto 0);
+signal data_input: unsigned(7 downto 0);
signal data_out_s: std_logic_vector(7 downto 0);
-- autres signaux
@@ -59,10 +60,9 @@ signal clock_fifo:std_logic;
begin
-- conversion de types :
---data_in_s <= TO_STDLOGICVECTOR(std);
-data_in_s <= conv_std_logic_vector(data_in);
-
-data_out <= TO_UNSIGNED(bvtoi(data_out_s));
+--data_in_s <= TO_STDLOGICVECTOR(unsigned(data_in),8);
+data_out <= TO_UNSIGNED(CONV_INTEGER(data_out_s),8);
+data_in_s <= conv_std_logic_vector(data_input);
FIFO1:fifoctlr_cc
port map(
@@ -92,3 +92,4 @@ end rtl;
+