summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/decoder.vhd
diff options
context:
space:
mode:
authorprot2004-02-25 12:38:49 +0000
committerprot2004-02-25 12:38:49 +0000
commitc50aca8c4be2ef778eb634df7a530cde2ff23f39 (patch)
treebd0ed67fec5836ed98c003580242c1b6c76c85cc /2004/n/fpga/src/portserie/decoder.vhd
parent8e9ab78e5c440b09dac2a743e318bc8f4efa0670 (diff)
.
Diffstat (limited to '2004/n/fpga/src/portserie/decoder.vhd')
-rw-r--r--2004/n/fpga/src/portserie/decoder.vhd13
1 files changed, 7 insertions, 6 deletions
diff --git a/2004/n/fpga/src/portserie/decoder.vhd b/2004/n/fpga/src/portserie/decoder.vhd
index 8ca3a67..920431d 100644
--- a/2004/n/fpga/src/portserie/decoder.vhd
+++ b/2004/n/fpga/src/portserie/decoder.vhd
@@ -14,7 +14,7 @@ entity decoder is
generic(adr : T_ADDRESS);
port(
bus_address : in T_ADDRESS;
- cs: out std_logic
+ cs: out std_logic:='0'
);
end decoder;
@@ -22,13 +22,14 @@ architecture rtl of decoder is
begin
process(bus_address)
begin
- if(bus_address=adr)
- then
+ --if(bus_address = adr)
+ --then
cs<='1';
- else
- cs<='0';
- end if;
+ --else
+ -- cs<='0';
+ --end if;
end process;
end rtl;
+