summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/decoder.vhd
diff options
context:
space:
mode:
authorprot2004-02-24 20:11:34 +0000
committerprot2004-02-24 20:11:34 +0000
commit513b74008aa84ec83bc62e242d2ed75ea9444564 (patch)
tree5b7adb2631a2faf75b3ae368ef31c597808a1719 /2004/n/fpga/src/portserie/decoder.vhd
parent737a773308c58c99768b36d8facf355df6f2b14c (diff)
On repasse en std_logic...
Snif
Diffstat (limited to '2004/n/fpga/src/portserie/decoder.vhd')
-rw-r--r--2004/n/fpga/src/portserie/decoder.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/2004/n/fpga/src/portserie/decoder.vhd b/2004/n/fpga/src/portserie/decoder.vhd
index 5f5afa0..219f308 100644
--- a/2004/n/fpga/src/portserie/decoder.vhd
+++ b/2004/n/fpga/src/portserie/decoder.vhd
@@ -11,9 +11,9 @@ use ieee.std_logic_unsigned.all;
use work.nono_const.all;
entity decoder is
- generic(adr : unsigned);
+ generic(adr : T_ADDRESS);
port(
- bus_address: in unsigned((NB_BIT_ADDRESS - 1) downto 0);
+ bus_address : in T_ADDRESS
cs: out std_logic
);
end decoder;