summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/packages
diff options
context:
space:
mode:
authorgalmes2004-03-03 20:20:53 +0000
committergalmes2004-03-03 20:20:53 +0000
commit3f0458c3087881ee7e3a07f0838a692c93b0919f (patch)
tree42985a9350b14b95adc86614f6a6a4bf72f94e8f /2004/n/fpga/src/packages
parentdc5116c2d6624d1607eeef67fab5d53722dc012e (diff)
Modification et ajout de fichiers pour la GPIO et la gestion des
interruptions.
Diffstat (limited to '2004/n/fpga/src/packages')
-rw-r--r--2004/n/fpga/src/packages/isa_const.vhd7
1 files changed, 6 insertions, 1 deletions
diff --git a/2004/n/fpga/src/packages/isa_const.vhd b/2004/n/fpga/src/packages/isa_const.vhd
index 5f5d1d4..8db9836 100644
--- a/2004/n/fpga/src/packages/isa_const.vhd
+++ b/2004/n/fpga/src/packages/isa_const.vhd
@@ -24,9 +24,14 @@ package isa_const is
constant ISA_READ : std_logic := '0';
constant ISA_WRITE : std_logic := '1';
+ -- Comportement de la ligne IRQ.
+ constant IRQ_ON : std_logic := '0';
+ constant IRQ_OFF : std_logic := '1'; -- TODO : est-ce pas 'Z' ?
+
-- Nombre de bits du bus d'adresse
constant NB_BIT_ADDRESS_ISA : integer := 20;
- subtype T_ADDRESS_ISA is std_logic_vector((NB_BIT_ADDRESS_ISA - 1) downto 0);
+ subtype T_ADDRESS_ISA is std_logic_vector(
+ (NB_BIT_ADDRESS_ISA - 1) downto 0);
end isa_const;