summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/or
diff options
context:
space:
mode:
authorgalmes2004-03-30 10:50:50 +0000
committergalmes2004-03-30 10:50:50 +0000
commitfb2d0d107767bf9d95f87542f9954f275e6f288a (patch)
tree4431ca6da196f79f2690bc688a8fb164fa65c3c0 /2004/n/fpga/src/or
parentb985bd5bd21718f87aac5366203a0a0dc1681e4a (diff)
Ajout des fichiers : or3 et or8
Diffstat (limited to '2004/n/fpga/src/or')
-rw-r--r--2004/n/fpga/src/or/or3.vhd29
-rw-r--r--2004/n/fpga/src/or/or8.vhd43
2 files changed, 72 insertions, 0 deletions
diff --git a/2004/n/fpga/src/or/or3.vhd b/2004/n/fpga/src/or/or3.vhd
new file mode 100644
index 0000000..48ae2c8
--- /dev/null
+++ b/2004/n/fpga/src/or/or3.vhd
@@ -0,0 +1,29 @@
+-- or3.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Ou à trois entrées.
+
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+-- Les packages à nous.
+use work.isa_const.all;
+use work.nono_const.all;
+
+
+entity or3 is
+ port (
+ in1 : in std_logic;
+ in2 : in std_logic;
+ in3 : in std_logic;
+ or_out : out std_logic
+ );
+end entity;
+
+architecture RTL of or3 is
+begin
+
+ or_out <= '1' when (in1 = '1' or
+ in2 = '1' or in3 ='1') else '0';
+end RTL;
diff --git a/2004/n/fpga/src/or/or8.vhd b/2004/n/fpga/src/or/or8.vhd
new file mode 100644
index 0000000..ae0d159
--- /dev/null
+++ b/2004/n/fpga/src/or/or8.vhd
@@ -0,0 +1,43 @@
+-- or8.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Ou à 8 entrées.
+
+
+library ieee;
+use ieee.std_logic_1164.all;
+--use ieee.std_logic_arith.all;
+--use ieee.std_logic_unsigned.all;
+
+use work.isa_const.all;
+use work.nono_const.all;
+
+
+entity or8 is
+ port (
+ in0 : in std_logic;
+ in1 : in std_logic;
+ in2 : in std_logic;
+ in3 : in std_logic;
+ in4 : in std_logic;
+ in5 : in std_logic;
+ in6 : in std_logic;
+ in7 : in std_logic;
+ or_out : out std_logic
+ );
+end entity;
+
+architecture RTL of or8 is
+begin
+
+ or_out <= '1' when
+ (in0 = '1' or
+ in1 = '1' or
+ in2 = '1' or
+ in3 = '1' or
+ in4 = '1' or
+ in5 = '1' or
+ in6 = '1' or
+ in7 = '1'
+ ) else '0';
+end RTL;