From fb2d0d107767bf9d95f87542f9954f275e6f288a Mon Sep 17 00:00:00 2001 From: galmes Date: Tue, 30 Mar 2004 10:50:50 +0000 Subject: Ajout des fichiers : or3 et or8 --- 2004/n/fpga/src/or/or3.vhd | 29 +++++++++++++++++++++++++++++ 2004/n/fpga/src/or/or8.vhd | 43 +++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 72 insertions(+) create mode 100644 2004/n/fpga/src/or/or3.vhd create mode 100644 2004/n/fpga/src/or/or8.vhd (limited to '2004/n/fpga/src/or') diff --git a/2004/n/fpga/src/or/or3.vhd b/2004/n/fpga/src/or/or3.vhd new file mode 100644 index 0000000..48ae2c8 --- /dev/null +++ b/2004/n/fpga/src/or/or3.vhd @@ -0,0 +1,29 @@ +-- or3.vhd +-- Eurobot 2004 : APB Team +-- Auteur : Pierre-André Galmes +-- Ou à trois entrées. + + +library ieee; +use ieee.std_logic_1164.all; + +-- Les packages à nous. +use work.isa_const.all; +use work.nono_const.all; + + +entity or3 is + port ( + in1 : in std_logic; + in2 : in std_logic; + in3 : in std_logic; + or_out : out std_logic + ); +end entity; + +architecture RTL of or3 is +begin + + or_out <= '1' when (in1 = '1' or + in2 = '1' or in3 ='1') else '0'; +end RTL; diff --git a/2004/n/fpga/src/or/or8.vhd b/2004/n/fpga/src/or/or8.vhd new file mode 100644 index 0000000..ae0d159 --- /dev/null +++ b/2004/n/fpga/src/or/or8.vhd @@ -0,0 +1,43 @@ +-- or8.vhd +-- Eurobot 2004 : APB Team +-- Auteur : Pierre-André Galmes +-- Ou à 8 entrées. + + +library ieee; +use ieee.std_logic_1164.all; +--use ieee.std_logic_arith.all; +--use ieee.std_logic_unsigned.all; + +use work.isa_const.all; +use work.nono_const.all; + + +entity or8 is + port ( + in0 : in std_logic; + in1 : in std_logic; + in2 : in std_logic; + in3 : in std_logic; + in4 : in std_logic; + in5 : in std_logic; + in6 : in std_logic; + in7 : in std_logic; + or_out : out std_logic + ); +end entity; + +architecture RTL of or8 is +begin + + or_out <= '1' when + (in0 = '1' or + in1 = '1' or + in2 = '1' or + in3 = '1' or + in4 = '1' or + in5 = '1' or + in6 = '1' or + in7 = '1' + ) else '0'; +end RTL; -- cgit v1.2.3