summaryrefslogtreecommitdiff
path: root/cesar/ce/rx/bitloading/bitloading.h
diff options
context:
space:
mode:
Diffstat (limited to 'cesar/ce/rx/bitloading/bitloading.h')
-rw-r--r--cesar/ce/rx/bitloading/bitloading.h3
1 files changed, 3 insertions, 0 deletions
diff --git a/cesar/ce/rx/bitloading/bitloading.h b/cesar/ce/rx/bitloading/bitloading.h
index b820c02492..d82a828979 100644
--- a/cesar/ce/rx/bitloading/bitloading.h
+++ b/cesar/ce/rx/bitloading/bitloading.h
@@ -34,6 +34,8 @@ typedef struct ce_rx_bitloading_t
u8 noise_nrj_blk_count;
/** Mean count. */
u32 mean_count;
+ /** Get SNR for statistics for the CP. */
+ bool get_snr;
} ce_rx_bitloading_t;
BEGIN_DECLS
@@ -52,6 +54,7 @@ ce_rx_bitloading_init (ce_rx_bitloading_t *bt)
bt->fsm = 0;
bt->noise_nrj_blk_count = 0;
bt->noise_nrj = NULL;
+ bt->get_snr = false;
}
/**