summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/three-state/tristate.vhd
blob: a438ddecace8149b71613c6d24c7cfcc25e1b247 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
-- tristate.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Composant three-state (trois �tats : 0, 1 ou Z).

-- Principe :
-- Bloc trois �tats (three-state) qui met les sorties en hautes imp�dance si
-- elle ne sont pas "enabled".

library ieee;
use	ieee.std_logic_1164.all;
use     ieee.std_logic_arith.all;
use     ieee.std_logic_unsigned.all;

use	work.isa_const.all;
use	work.nono_const.all;


entity tristate is
    port (
	enable  : in std_logic;
	data_in : in T_DATA;
	data_out : out T_DATA 
    );
end entity;

architecture RTL of tristate is
begin
    -- partie combinatoire.
    data_out <= data_in when (enable = '1') else (others => 'Z');
end RTL;