summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/pwm/affichepwm3.vhd
blob: 1462a611aebbe76b6b67422f0f2e403a401a333b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
-------------------------------------------------------------------------------
--affichepwm3.vhd 
--Eurobot 2004 : APB Team
--Auteur : Fid�le GAFAN
--Registre � d�calage affichantles signaux PWM
--
--REMARQUE(S):changer tccompt,q et valuecompt
--            si CLK#32MHz et/ou qu'on modifie les valeurs de r�f�rence de T1
--            et T2 
-- Tcmax=20ms/1us=20161cycles.
--*Si DATACOMPT=0,on veut que T2 vale 0,5ms donc on initialise Q � la valeur
--Q=0,5ms/1us=505.
--*Si DATACOMPT=255,on veut que T2 vale 1,5ms donc on initialise Q � la valeur
--Q=1,5ms/1us=1515.
--*Pour toute autre valeur de DATACOMPT comprise entre les deux pr�c�dentes et 
--diff�rentes de ces derni�res,on initialise Q avec
--Q=(0,5ms/1us)+(DATACOMPT*min[((1,5ms-0,5ms)/1us)/(255-1)]
---------------------------------------------------------------------------------rtl de la sortie pwm en fonction de tc

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
--ENTITE
entity affichepwm3 is
	port ( RST		: in std_logic;
               CLK		: in std_logic;
               CLK1USAFF	: in std_logic;
	      valueaff		: in integer range 0 to 1000000;
              outpwm		: out std_logic
	     );
end entity;
--ARCHITECTURE
architecture affichepwm3behav of affichepwm3 is 

begin
	process(RST,CLK)
	begin
	       
        if RST ='1' then  
            if (valueaff = 0)then
          		outpwm<='0';
            elsif (valueaff /= 0) then
          		outpwm<='1';
            end if;
        elsif (clk'event and clk='1') then
          if  (CLK1USAFF='1')  then
            if (valueaff = 0)then
          		outpwm<='0';
            elsif (valueaff /= 0) then
          		outpwm<='1';
            end if;
          end if;
        end if;
end process;
end affichepwm3behav;