summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/clockgene.vhd
blob: 2a13a8c77dfa68404a956a25b089938acc9a4ae2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
-- clockgene.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre Prot
-- clock

library ieee;
use	ieee.std_logic_1164.all;
use     ieee.std_logic_arith.all;
use     ieee.std_logic_unsigned.all;

use	work.nono_const.all;

-- horloge de ref
entity clockgene is
    port(
	ckin:	in std_logic;
	ckout:	out std_logic;
	param:	in std_logic_vector(1 downto 0)
	);
end clockgene;

architecture rtl of clockgene is
begin
    ckout<=ckin;
end rtl;