summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/or/or8.vhd
blob: 85f2088729dcd8a8fe06027d332552584acbb4c3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
-- or8.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Ou � 8 entr�es.


library ieee;
use	ieee.std_logic_1164.all;
--use     ieee.std_logic_arith.all;
--use     ieee.std_logic_unsigned.all;

use	work.isa_const.all;
use	work.nono_const.all;


entity or8 is
    port (
	or8_in : in std_logic_vector (7 downto 0);
	or8_out : out std_logic
    );
end entity;

architecture RTL of or8 is
begin

	or8_out <= '1' when 
		(or8_in (0) = '1' or 
		 or8_in (1) = '1' or 
		 or8_in (2) = '1' or 
		 or8_in (3) = '1' or 
		 or8_in (4) = '1' or 
		 or8_in (5) = '1' or 
		 or8_in (6) = '1' or 
		 or8_in (7) = '1'
		) else '0';
end RTL;