summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/or/or3.vhd
blob: b59a48e94daa6bfad651f60cb6211337ed750df6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
-- or3.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Ou � trois entr�es.


library ieee;
use	ieee.std_logic_1164.all;

-- Les packages � nous.
use	work.isa_const.all;
use	work.nono_const.all;


entity or3_nono is
    port (
	or3_in1 : in std_logic;
	or3_in2 : in std_logic;
	or3_in3 : in std_logic;
	or3_out : out std_logic
    );
end entity;

architecture RTL of or3_nono is
begin
	or3_out <= '1' when (or3_in1 = '1' or 
			or3_in2 = '1' or or3_in3 ='1') else '0';
end RTL;