summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/interrupt/bch_conserv8.vhd
blob: 8ad7a3e82d1bd2006e25d2c26b7f790f5f96af8b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
-- bch_conserv8.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Test de conserv8.

library ieee;
use	ieee.std_logic_1164.all;
--use     ieee.std_logic_arith.all;
--use     ieee.std_logic_unsigned.all;

use	work.isa_const.all;
use	work.nono_const.all;


entity bch_conserv8 is
end bch_conserv8;

architecture sim1 of bch_conserv8 is
    
    component conserv8
    port (
        clk : in std_logic;
        rst : in std_logic;
        data_in : in T_DATA;
        data_out : out T_DATA
    );
    end component;

    -- d�finiton des signaux
    signal clk : std_logic := '0';
    signal rst : std_logic;
    signal data_in : T_DATA;
    signal data_out : T_DATA;

begin
    U1 : conserv8 port map (
	clk => clk,
	rst => rst,
	data_in => data_in,
	data_out => data_out
	);

    clk <= not clk after CK_PERIOD/2;
    rst <= 	'1', 
    		'0' after CK_PERIOD;
    data_in <= 	x"01", 
		x"00" after 2*CK_PERIOD,
		x"08" after 5*CK_PERIOD,
		x"00" after 10*CK_PERIOD,
		x"09" after 11*CK_PERIOD,
    		x"00" after 12*CK_PERIOD;
		--x"03" after 5*CK_PERIOD;
end sim1;

configuration cf1_bch_conserv8 of bch_conserv8 is
    for sim1
        for all : conserv8 use entity work.conserv8(RTL); end for;
    end for;
end cf1_bch_conserv8;