summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/interrupt/bch_IRQ_manager.vhd
blob: 1c59d1b1270e3320f0bf4404c955c0270dc94a52 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
-- bch_IRQ_manager.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Test de IRQ_manager.

library ieee;
use	ieee.std_logic_1164.all;
use     ieee.std_logic_arith.all;
use     ieee.std_logic_unsigned.all;

use	work.isa_const.all;
use	work.nono_const.all;


entity bch_IRQ_manager is
end bch_IRQ_manager;

architecture sim1 of bch_IRQ_manager is
    
    component IRQ_manager
	port (
	    it_detected : in std_logic_vector(2 downto 0);
	    IRQ  : out std_logic
	);
    end component;

    -- d�finiton des signaux
    signal it_detected  : std_logic_vector(2 downto 0);
    signal IRQ : std_logic;

begin
    U1 : IRQ_manager port map (
	it_detected => it_detected,  
	IRQ => IRQ
	);

    it_detected <= 	"000", 
    		"001" after 2*CK_PERIOD,
    		"000" after 3*CK_PERIOD,
    		"010" after 4*CK_PERIOD,
    		"000" after 5*CK_PERIOD,
    		"100" after 6*CK_PERIOD,
    		"000" after 7*CK_PERIOD;
		--x"03" after 5*CK_PERIOD;
end sim1;

configuration cf1_bch_IRQ_manager of bch_IRQ_manager is
    for sim1
        for all : IRQ_manager use entity work.IRQ_manager(RTL); end for;
    end for;
end cf1_bch_IRQ_manager;