summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/reg_ioz.vhd
blob: 22f1730fd2ec51059aacbc017a708499af413c94 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
-- reg_ioz.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Registre dont on peut lire les valeurs sur data_out. 
-- RQ : Ce type de registre a un inconv�nient : la haute imp�dance !

-- Principe :
-- Si (write et enable) alors sauvegarde entr�e et copie entr�e sur sortie.
-- Si (read  et enable) alors copie sortie sur entr�e.
-- Si (pas enable)	alors copie derni�re valeur sauvegard�e sur sortie.

library ieee;
use	ieee.std_logic_1164.all;
use     ieee.std_logic_arith.all;
use     ieee.std_logic_unsigned.all;

use	work.isa_const.all;
use	work.nono_const.all;


entity reg_ioz is
    port (
	rst : in std_logic;
	rw  : in std_logic; -- read (ISA_READ) / write (ISA_WRITE)
	enable  : in std_logic;
	data_in : inout T_DATA;
	data_out : inout T_DATA
    );
end entity;

architecture BEHAV of reg_ioz is
    -- signal interne
    signal REG : T_DATA;
begin
    -- process 
    process (rst, rw, enable, data_in)
    begin
	if (rst = '1') then
	    REG <= x"00";
	else
	    if (enable = '1') then
		if (rw = ISA_WRITE) then
		    REG <= data_in;
		elsif (rw = ISA_READ) then
		    data_in <= data_out;
		end if;
	    else 
		data_in <= "ZZZZZZZZ";
	    end if;
	end if;
    end process;

    data_out <= "ZZZZZZZZ" when (rw = ISA_READ and enable = '1') else REG;
end BEHAV;