summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/reg_io.vhd
blob: 43416e4a321edd102794b92ce222faf46ed85744 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
-- reg_io.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Registre dont on peut lire les valeurs sur data_out. 
-- RQ : Ce type de registre a un inconv�nient : la haute imp�dance !

-- TODO : Principe :
-- Si (write et enable) alors sauvegarde l'entr�e data_f_in et copie
-- 			      entr�e data_f_in sur sortie.
-- Si (read  et enable) alors copie entr�e data_b_in sur entr�e data_f_in.
-- Si (pas enable)	alors copie derni�re valeur sauvegard�e sur sortie.

library ieee;
use	ieee.std_logic_1164.all;
use     ieee.std_logic_arith.all;
use     ieee.std_logic_unsigned.all;

use	work.isa_const.all;
use	work.nono_const.all;


entity reg_io is
    port (
	rst : in std_logic;
	rw  : in std_logic; -- read (ISA_READ) / write (ISA_WRITE)
	enable  : in std_logic;
	data_f_in : inout T_DATA; -- forward in = entr�e dans le sens direct.
	data_out : out T_DATA;
	data_b_in : in T_DATA	  -- backward in = entr�e pour la lecture
    );
end entity;

architecture BEHAV of reg_io is
    -- signal interne
    signal REG : T_DATA;
begin
    -- process 
    process (rst, rw, enable, data_f_in, data_b_in)
    begin
	if (rst = '1') then
	    REG <= x"00";
	else
	    if (enable = '1') then
		if (rw = ISA_WRITE) then
		    REG <= data_f_in;
		elsif (rw = ISA_READ) then
		    data_f_in <= data_b_in;
		end if;
	    else 
		data_f_in <= "ZZZZZZZZ";
	    end if;
	end if;
    end process;

    data_out <= REG;
end BEHAV;