summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/bascule/bch_bascule.vhd
blob: dbe0283c5e65d7496ae66c1efc9d127a1ad9ed61 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
-- bch_bascule.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Test de bascule.

library ieee;
use	ieee.std_logic_1164.all;
--use     ieee.std_logic_arith.all;
--use     ieee.std_logic_unsigned.all;

use	work.isa_const.all;
use	work.nono_const.all;


entity bch_bascule is
end bch_bascule;

architecture sim1 of bch_bascule is
    
    component bascule
    port (
        clk : in std_logic;
        rst : in std_logic;
        data_in : in T_DATA;
        data_out : out T_DATA;
        it_detected : out std_logic
    );
    end component;

    -- d�finiton des signaux
    signal clk : std_logic := '0';
    signal rst : std_logic;
    signal data_in : T_DATA;
    signal data_out : T_DATA;
    signal it_detected : std_logic;

begin
    U1 : bascule port map (
	clk => clk,
	rst => rst,
	data_in => data_in,
	data_out => data_out,
	it_detected => it_detected
	);

    clk <= not clk after CK_PERIOD/2;
    rst <= 	'1', 
    		'0' after CK_PERIOD,
    		'1' after 5*CK_PERIOD,
    		'0' after 7*CK_PERIOD;
    data_in <= 	x"00", 
		x"08" after 2*CK_PERIOD,
		x"01" after 5*CK_PERIOD,
		x"00" after 8*CK_PERIOD;
		--x"03" after 5*CK_PERIOD;
end sim1;

configuration cf1_bch_bascule of bch_bascule is
    for sim1
        for all : bascule use entity work.bascule(RTL); end for;
    end for;
end cf1_bch_bascule;