summaryrefslogtreecommitdiff
path: root/2004/n/fpga
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga')
-rw-r--r--2004/n/fpga/src/gpio/decodeur.vhd10
1 files changed, 2 insertions, 8 deletions
diff --git a/2004/n/fpga/src/gpio/decodeur.vhd b/2004/n/fpga/src/gpio/decodeur.vhd
index 37848ac..ed9232e 100644
--- a/2004/n/fpga/src/gpio/decodeur.vhd
+++ b/2004/n/fpga/src/gpio/decodeur.vhd
@@ -14,7 +14,7 @@ use ieee.std_logic_1164.all;
use work.nono_const.all;
-entity decodeur is
+entity decodeur4 is
generic (
-- adresses des différents registres du module.
A_REG0 : T_ADDRESS;
@@ -34,15 +34,9 @@ entity decodeur is
);
end entity;
-architecture RTL of decodeur is
+architecture RTL of decodeur4 is
begin
--- process (clk)
--- begin
--- if (clk'event and clk = '1') then
--- end if;
--- end process;
-
-- process combinatoire.
enable0 <= '1' when (bus_address = A_REG0) else '0';
enable1 <= '1' when (bus_address = A_REG1) else '0';