summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/servo/servo_generator.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/servo/servo_generator.vhd')
-rw-r--r--2004/n/fpga/src/servo/servo_generator.vhd6
1 files changed, 3 insertions, 3 deletions
diff --git a/2004/n/fpga/src/servo/servo_generator.vhd b/2004/n/fpga/src/servo/servo_generator.vhd
index 278cbff..e72c1bd 100644
--- a/2004/n/fpga/src/servo/servo_generator.vhd
+++ b/2004/n/fpga/src/servo/servo_generator.vhd
@@ -1,9 +1,9 @@
--------------------------------------------------------------------------------
-- servo_generator.vhd
-- Eurobot 2004 : APB Team
--- Auteur : Fidèle GAFAN et Pierre-André Galmes
+-- Auteur : Pierre-André Galmes
+-- Bloc générant le signal à envoyer au servos lorsqu'il recoit la valeur
+-- provenant de convert_servo.
--- TODO : reprendre les commentaires déjà faits !
library ieee;
use ieee.std_logic_1164.all;