summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/decoder.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/decoder.vhd')
-rw-r--r--2004/n/fpga/src/portserie/decoder.vhd5
1 files changed, 3 insertions, 2 deletions
diff --git a/2004/n/fpga/src/portserie/decoder.vhd b/2004/n/fpga/src/portserie/decoder.vhd
index ac586b6..4eb4724 100644
--- a/2004/n/fpga/src/portserie/decoder.vhd
+++ b/2004/n/fpga/src/portserie/decoder.vhd
@@ -24,11 +24,12 @@ process(bus_address)
begin
if(bus_address = adr)
then
- cs<='0';
- else
cs<='1';
+ else
+ cs<='0';
end if;
end process;
end rtl;
+