summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/packages/isa_const.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/packages/isa_const.vhd')
-rw-r--r--2004/n/fpga/src/packages/isa_const.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/2004/n/fpga/src/packages/isa_const.vhd b/2004/n/fpga/src/packages/isa_const.vhd
index 8db9836..88f558d 100644
--- a/2004/n/fpga/src/packages/isa_const.vhd
+++ b/2004/n/fpga/src/packages/isa_const.vhd
@@ -21,8 +21,8 @@ package isa_const is
constant ISA_CK_PERIOD : time := 50 ns;
-- Ligne RW : lecture et écriture
- constant ISA_READ : std_logic := '0';
- constant ISA_WRITE : std_logic := '1';
+ constant ISA_READ : std_logic := '1';
+ constant ISA_WRITE : std_logic := '0';
-- Comportement de la ligne IRQ.
constant IRQ_ON : std_logic := '0';