summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/interrupt/bch_conserv.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/interrupt/bch_conserv.vhd')
-rw-r--r--2004/n/fpga/src/interrupt/bch_conserv.vhd16
1 files changed, 7 insertions, 9 deletions
diff --git a/2004/n/fpga/src/interrupt/bch_conserv.vhd b/2004/n/fpga/src/interrupt/bch_conserv.vhd
index e99bf5e..85f1222 100644
--- a/2004/n/fpga/src/interrupt/bch_conserv.vhd
+++ b/2004/n/fpga/src/interrupt/bch_conserv.vhd
@@ -22,8 +22,7 @@ architecture sim1 of bch_conserv is
clk : in std_logic;
rst : in std_logic;
data_in : in T_DATA;
- data_out : out T_DATA;
- it_detected : out std_logic
+ data_out : out T_DATA
);
end component;
@@ -32,26 +31,25 @@ architecture sim1 of bch_conserv is
signal rst : std_logic;
signal data_in : T_DATA;
signal data_out : T_DATA;
- signal it_detected : std_logic;
begin
U1 : conserv port map (
clk => clk,
rst => rst,
data_in => data_in,
- data_out => data_out,
- it_detected => it_detected
+ data_out => data_out
);
clk <= not clk after CK_PERIOD/2;
rst <= '1',
'0' after CK_PERIOD,
- '1' after 5*CK_PERIOD,
- '0' after 7*CK_PERIOD;
- data_in <= x"02",
+ '1' after 7*CK_PERIOD,
+ '0' after 8*CK_PERIOD;
+ data_in <= x"01",
x"00" after 2*CK_PERIOD,
x"08" after 5*CK_PERIOD,
- x"01" after 7*CK_PERIOD;
+ x"01" after 7*CK_PERIOD,
+ x"00" after 9*CK_PERIOD;
--x"03" after 5*CK_PERIOD;
end sim1;