summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/interrupt/IRQ_manager.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/interrupt/IRQ_manager.vhd')
-rw-r--r--2004/n/fpga/src/interrupt/IRQ_manager.vhd30
1 files changed, 30 insertions, 0 deletions
diff --git a/2004/n/fpga/src/interrupt/IRQ_manager.vhd b/2004/n/fpga/src/interrupt/IRQ_manager.vhd
new file mode 100644
index 0000000..47359a7
--- /dev/null
+++ b/2004/n/fpga/src/interrupt/IRQ_manager.vhd
@@ -0,0 +1,30 @@
+-- IRQ_manager.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Bloc de gestion des IRQ (~ OU logique).
+
+-- Principe :
+-- Bloc trois états (three-state) qui met les sorties en hautes impédance si
+-- elle ne sont pas "enabled".
+
+library ieee;
+use ieee.std_logic_1164.all;
+--use ieee.std_logic_arith.all;
+--use ieee.std_logic_unsigned.all;
+
+use work.isa_const.all;
+use work.nono_const.all;
+
+
+entity IRQ_manager is
+ port (
+ it_detected : in std_logic_vector(2 downto 0);
+ IRQ : out std_logic
+ );
+end entity;
+
+architecture RTL of IRQ_manager is
+begin
+ -- partie combinatoire.
+ IRQ <= IRQ_ON when (it_detected /= "000") else IRQ_OFF;
+end RTL;