summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/tristate.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/gpio/tristate.vhd')
-rw-r--r--2004/n/fpga/src/gpio/tristate.vhd31
1 files changed, 0 insertions, 31 deletions
diff --git a/2004/n/fpga/src/gpio/tristate.vhd b/2004/n/fpga/src/gpio/tristate.vhd
deleted file mode 100644
index 07e440e..0000000
--- a/2004/n/fpga/src/gpio/tristate.vhd
+++ /dev/null
@@ -1,31 +0,0 @@
--- tristate.vhd
--- Eurobot 2004 : APB Team
--- Auteur : Pierre-André Galmes
--- Registre dont la valeur est accessible en lecture.
-
--- Principe :
--- Bloc trois états (three-state) qui met les sorties en hautes impédance si
--- elle ne sont pas "enabled".
-
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
-
-use work.isa_const.all;
-use work.nono_const.all;
-
-
-entity tristate is
- port (
- enable : in std_logic;
- data_in : in T_DATA;
- data_out : out T_DATA
- );
-end entity;
-
-architecture RTL of tristate is
-begin
- -- partie combinatoire.
- data_out <= data_in when (enable = '1') else (others => 'Z');
-end RTL;