summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/gpio_it_detect.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/gpio/gpio_it_detect.vhd')
-rw-r--r--2004/n/fpga/src/gpio/gpio_it_detect.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/2004/n/fpga/src/gpio/gpio_it_detect.vhd b/2004/n/fpga/src/gpio/gpio_it_detect.vhd
index 2e21970..003c00d 100644
--- a/2004/n/fpga/src/gpio/gpio_it_detect.vhd
+++ b/2004/n/fpga/src/gpio/gpio_it_detect.vhd
@@ -29,7 +29,7 @@ end entity;
architecture RTL of gpio_it_detect is
-- Constantes
- constant IT_ENABLE : std_logic := '1';
+ -- constant IT_ENABLE : std_logic := '1';
-- Signal interne
signal state_p : T_DATA; -- etat passe