summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/gpio.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/gpio/gpio.vhd')
-rw-r--r--2004/n/fpga/src/gpio/gpio.vhd8
1 files changed, 4 insertions, 4 deletions
diff --git a/2004/n/fpga/src/gpio/gpio.vhd b/2004/n/fpga/src/gpio/gpio.vhd
index 88c1651..b85d5da 100644
--- a/2004/n/fpga/src/gpio/gpio.vhd
+++ b/2004/n/fpga/src/gpio/gpio.vhd
@@ -20,10 +20,10 @@ entity gpio is
bus_data : inout T_DATA;
io_output : inout T_DATA;
-- chip select
- cs_reg_data_write : in std_logic;
+ cs_reg_data : in std_logic;
cs_reg_direction : in std_logic;
cs_reg_it_mask : in std_logic;
- cs_reg_read_output : in std_logic
+ cs_read_output : in std_logic
);
end entity;
@@ -100,7 +100,7 @@ port map (
clk_i,
rst,
rw,
- cs_reg_data_write,
+ cs_reg_data,
bus_data,
bus_reg_data
);
@@ -119,7 +119,7 @@ port map (
--
read_output : tristate
port map (
- cs_reg_read_output,
+ cs_read_output,
io_output,
bus_data
);