summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/bch_gpio_it_detect.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/gpio/bch_gpio_it_detect.vhd')
-rw-r--r--2004/n/fpga/src/gpio/bch_gpio_it_detect.vhd6
1 files changed, 3 insertions, 3 deletions
diff --git a/2004/n/fpga/src/gpio/bch_gpio_it_detect.vhd b/2004/n/fpga/src/gpio/bch_gpio_it_detect.vhd
index 2e7162c..80ebd45 100644
--- a/2004/n/fpga/src/gpio/bch_gpio_it_detect.vhd
+++ b/2004/n/fpga/src/gpio/bch_gpio_it_detect.vhd
@@ -5,8 +5,8 @@
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
+--use ieee.std_logic_arith.all;
+--use ieee.std_logic_unsigned.all;
use work.isa_const.all;
use work.nono_const.all;
@@ -55,7 +55,7 @@ end sim1;
configuration cf1_bch_gpio_it_detect of bch_gpio_it_detect is
for sim1
- for all : gpio_it_detect use entity work.gpio_it_detect(BEHAV); end for;
+ for all : gpio_it_detect use entity work.gpio_it_detect(RTL); end for;
end for;
end cf1_bch_gpio_it_detect;