summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/bascule/bch_bascule.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/bascule/bch_bascule.vhd')
-rw-r--r--2004/n/fpga/src/bascule/bch_bascule.vhd63
1 files changed, 63 insertions, 0 deletions
diff --git a/2004/n/fpga/src/bascule/bch_bascule.vhd b/2004/n/fpga/src/bascule/bch_bascule.vhd
new file mode 100644
index 0000000..6fac989
--- /dev/null
+++ b/2004/n/fpga/src/bascule/bch_bascule.vhd
@@ -0,0 +1,63 @@
+-- bch_bascule.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Test de bascule.
+
+library ieee;
+use ieee.std_logic_1164.all;
+--use ieee.std_logic_arith.all;
+--use ieee.std_logic_unsigned.all;
+
+use work.isa_const.all;
+use work.nono_const.all;
+
+
+entity bch_bascule is
+end bch_bascule;
+
+architecture sim1 of bch_bascule is
+
+ component bascule
+ port (
+ clk : in std_logic;
+ rst : in std_logic;
+ data_in : in T_DATA;
+ data_out : out T_DATA;
+ it_detected : out std_logic
+ );
+ end component;
+
+ -- définiton des signaux
+ signal clk : std_logic := '0';
+ signal rst : std_logic;
+ signal data_in : T_DATA;
+ signal data_out : T_DATA;
+ signal it_detected : std_logic;
+
+begin
+ U1 : bascule port map (
+ clk => clk,
+ rst => rst,
+ data_in => data_in,
+ data_out => data_out,
+ it_detected => it_detected
+ );
+
+ clk <= not clk after CK_PERIOD/2;
+ rst <= '1',
+ '0' after CK_PERIOD,
+ '1' after 5*CK_PERIOD,
+ '0' after 7*CK_PERIOD;
+ data_in <= x"02",
+ x"00" after 2*CK_PERIOD,
+ x"08" after 5*CK_PERIOD,
+ x"01" after 7*CK_PERIOD;
+ --x"03" after 5*CK_PERIOD;
+end sim1;
+
+configuration cf1_bch_bascule of bch_bascule is
+ for sim1
+ for all : bascule use entity work.bascule(RTL); end for;
+ end for;
+end cf1_bch_bascule;
+