summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/three-state/tristate.vhd
diff options
context:
space:
mode:
authorgalmes2004-02-28 21:14:41 +0000
committergalmes2004-02-28 21:14:41 +0000
commit10dfed049dd27dd03712c9fe1042e0620c318c32 (patch)
treeb5f6af1bad9deb2d5b8cbdc2b976b3f550a042cb /2004/n/fpga/src/three-state/tristate.vhd
parent62440d5c986e06cbdd1cca6809c152da72d85855 (diff)
Séparation du bloc three-state de gpio
Diffstat (limited to '2004/n/fpga/src/three-state/tristate.vhd')
-rw-r--r--2004/n/fpga/src/three-state/tristate.vhd31
1 files changed, 31 insertions, 0 deletions
diff --git a/2004/n/fpga/src/three-state/tristate.vhd b/2004/n/fpga/src/three-state/tristate.vhd
new file mode 100644
index 0000000..07e440e
--- /dev/null
+++ b/2004/n/fpga/src/three-state/tristate.vhd
@@ -0,0 +1,31 @@
+-- tristate.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Registre dont la valeur est accessible en lecture.
+
+-- Principe :
+-- Bloc trois états (three-state) qui met les sorties en hautes impédance si
+-- elle ne sont pas "enabled".
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+
+use work.isa_const.all;
+use work.nono_const.all;
+
+
+entity tristate is
+ port (
+ enable : in std_logic;
+ data_in : in T_DATA;
+ data_out : out T_DATA
+ );
+end entity;
+
+architecture RTL of tristate is
+begin
+ -- partie combinatoire.
+ data_out <= data_in when (enable = '1') else (others => 'Z');
+end RTL;