summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/test
diff options
context:
space:
mode:
authorgalmes2004-03-01 07:45:44 +0000
committergalmes2004-03-01 07:45:44 +0000
commit05c64845c5516d30cb6dee5a6e30aa749c8e5222 (patch)
tree02ecb64d82914a2a2ae8212fff7895d4b2396945 /2004/n/fpga/src/test
parentee8d21e4f842c5af8863651cbc12b85334430881 (diff)
Décodeur déplacé dans le dossier registre.
Diffstat (limited to '2004/n/fpga/src/test')
-rw-r--r--2004/n/fpga/src/test/decodeur4.sws152
-rw-r--r--2004/n/fpga/src/test/tristate.sws157
2 files changed, 309 insertions, 0 deletions
diff --git a/2004/n/fpga/src/test/decodeur4.sws b/2004/n/fpga/src/test/decodeur4.sws
new file mode 100644
index 0000000..3d18b81
--- /dev/null
+++ b/2004/n/fpga/src/test/decodeur4.sws
@@ -0,0 +1,152 @@
+#
+# This file has been automatically generated by the Symphony EDA IDE
+# Please do NOT modify this file manually. If you do, do it with
+# extreme care. This file represents your project settings. Corrupting
+# this file can render this file useless!!!
+#
+# Begin version identification section
+[version]
+ version = 1
+[]
+# Begin libraries section (used by all tools)
+[libraries]
+ ieee = $SYMPHONYEDA/lib/ieee/ieee.sym
+ decodeur4 = decodeur4.sym
+[]
+# End of libraries section
+ [options]
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 0
+ invert = 0
+ []
+ [stringoption]
+ name = -breakon
+ value = FAILURE
+ []
+ [stringoption]
+ name = -stdin
+ value = ""
+ []
+ [stringoption]
+ name = -stdout
+ value = ""
+ []
+ [stringoption]
+ name = -coverage
+ value = ""
+ []
+ [multistringoption]
+ name = -nowarn
+ []
+ [multistringoption]
+ name = -noaccel
+ []
+ [multistringoption]
+ name = -sdftyp
+ []
+ [multistringoption]
+ name = -sdfmin
+ []
+ [multistringoption]
+ name = -sdfmax
+ []
+ []
+# Begin description of library ieee
+[library]
+ name = ieee
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+[]
+# End description of library ieee
+# Begin description of library decodeur4
+[library]
+ name = decodeur4
+ toplevel = cf1_bch_decodeur4
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+ [file]
+ name = ../packages/nono_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = ../decodisa/decodeur4.vhd
+ [options]
+ []
+ []
+ [file]
+ name = ../decodisa/bch_decodeur4.vhd
+ [options]
+ []
+ []
+[]
+# End description of library decodeur4
+# Begin various workspace properties
+[properties]
+ work =decodeur4
+[]
+# End workspace properties
diff --git a/2004/n/fpga/src/test/tristate.sws b/2004/n/fpga/src/test/tristate.sws
new file mode 100644
index 0000000..b1c9a09
--- /dev/null
+++ b/2004/n/fpga/src/test/tristate.sws
@@ -0,0 +1,157 @@
+#
+# This file has been automatically generated by the Symphony EDA IDE
+# Please do NOT modify this file manually. If you do, do it with
+# extreme care. This file represents your project settings. Corrupting
+# this file can render this file useless!!!
+#
+# Begin version identification section
+[version]
+ version = 1
+[]
+# Begin libraries section (used by all tools)
+[libraries]
+ ieee = $SYMPHONYEDA/lib/ieee/ieee.sym
+ tristate = tristate.sym
+[]
+# End of libraries section
+ [options]
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 0
+ invert = 0
+ []
+ [stringoption]
+ name = -breakon
+ value = FAILURE
+ []
+ [stringoption]
+ name = -stdin
+ value = ""
+ []
+ [stringoption]
+ name = -stdout
+ value = ""
+ []
+ [stringoption]
+ name = -coverage
+ value = ""
+ []
+ [multistringoption]
+ name = -nowarn
+ []
+ [multistringoption]
+ name = -noaccel
+ []
+ [multistringoption]
+ name = -sdftyp
+ []
+ [multistringoption]
+ name = -sdfmin
+ []
+ [multistringoption]
+ name = -sdfmax
+ []
+ []
+# Begin description of library ieee
+[library]
+ name = ieee
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+[]
+# End description of library ieee
+# Begin description of library tristate
+[library]
+ name = tristate
+ toplevel = cf1_bch_tristate
+ [options]
+ [booloption]
+ name = -87
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -x
+ value = 0
+ invert = 0
+ []
+ [booloption]
+ name = -s
+ value = 1
+ invert = 0
+ []
+ [booloption]
+ name = -strict
+ value = 0
+ invert = 0
+ []
+ [intoption]
+ name = -maxerrors
+ value = 10
+ []
+ [booloption]
+ name = -autoorder
+ value = 1
+ invert = 0
+ []
+ []
+ [file]
+ name = ../packages/isa_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = ../packages/nono_const.vhd
+ [options]
+ []
+ []
+ [file]
+ name = ../three-state/tristate.vhd
+ [options]
+ []
+ []
+ [file]
+ name = ../three-state/bch_tristate.vhd
+ [options]
+ []
+ []
+[]
+# End description of library tristate
+# Begin various workspace properties
+[properties]
+ work =tristate
+[]
+# End workspace properties